Uso de una nueva generación de graves altamente sintonizables

Blog

HogarHogar / Blog / Uso de una nueva generación de graves altamente sintonizables

Mar 28, 2023

Uso de una nueva generación de graves altamente sintonizables

El rendimiento dieléctrico mejorado en el nivel más fundamental de los circuitos integrados puede tener

El rendimiento dieléctrico mejorado en el nivel más fundamental de los circuitos integrados puede tener una gran influencia estratégica en el desarrollo de circuitos lógicos y de memoria, hoy y mañana.

Imagínese estar en una gran sala llena de personas, cada una de las cuales tiene una información importante que usted necesita. Todos te dirán con gusto lo que saben, pero hay un problema: todos hablan a la vez. Cuanto más abarrotada esté la sala, más difícil será distinguir las palabras de la persona en la que intentas concentrarte de la cacofonía que la rodea.

El problema es la diafonía, definida por Wikipedia como "cualquier fenómeno por el cual una señal transmitida en un circuito o canal de un sistema de transmisión crea un efecto no deseado en otro circuito o canal". Y si está en el negocio de la fabricación de dispositivos lógicos y de memoria con miles de millones de celdas DRAM o transistores lógicos muy cerca, se enfrenta a una situación muy parecida a esa sala llena de gente habladora.

Considere la celda DRAM típica: un capacitor, que tiene una carga que representa un 1 o un 0; un transistor de acceso; y una línea de bits, a través de la cual se lee la carga del condensador. Con el tiempo, en la búsqueda de una mayor densidad, velocidad y un mínimo consumo de energía, estas estructuras se han ido haciendo más pequeñas y en los últimos años han evolucionado hacia diseños en 3D. Paralelamente, tanto el voltaje de detección (∆V) como la capacitancia de la celda (Cs) se han reducido con cada generación de tecnología, lo que requiere una reducción similar en la capacitancia de la línea de bits (CBL).

En nuestra analogía de la sala llena de gente, esas reducciones son el equivalente a que la persona que está escuchando hable con menos claridad, lo que hace que sea aún más difícil aislar sus palabras. Y una dinámica similar está funcionando en el sector lógico, donde las capacidades parásitas cada vez mayores (tanto entre puertas como entre puertas y contactos de puertas) han aumentado el riesgo de diafonía.

Crosstalk ha estado con nosotros desde los primeros días de la electrónica y, afortunadamente, existe una forma bien conocida de abordarlo: el aislamiento. En nuestra sala llena de gente, esto podría implicar colocar un deflector de sonido alrededor de cada persona; en un circuito integrado, a menudo se puede lograr con mejores películas dieléctricas.

"Mejor" en este caso no solo significa una constante dieléctrica más baja (k), aunque ese es un factor importante. Las películas también deben depositarse sin riesgo de dañar otros elementos del circuito y ser capaces de sobrevivir al procesamiento térmico, grabado, limpieza y otros pasos posteriores sin ningún cambio en sus propiedades. Deben estar libres de defectos y uniformes. Y en esta era de características de circuitos 3D, la uniformidad del grosor no es suficiente: las propiedades de una película también deben ser uniformes incluso cuando se depositan en la dimensión vertical.

También hay un factor adicional que entra en juego: cada organización de fabricación avanzada de chips se enfrenta a una competencia intensa y se esfuerza por desarrollar sus propios métodos únicos para obtener un poco más de rendimiento aquí, un poco más de rendimiento allá. Los ingenieros responsables de estos ajustes de proceso se benefician de la versatilidad y flexibilidad de las películas con las que trabajan: la capacidad de ajustar la composición de una película para lograr diferentes propiedades, incluida la selectividad de grabado. Además, la mayor densidad y complejidad de cada nueva generación de tecnología hace que estas ganancias de rendimiento y rendimiento sean más difíciles de lograr. Volviendo a la analogía de la sala llena de gente, es como si la sala se hiciera cada vez más pequeña, mientras que la gente habla más fuerte. Hay menos espacio para el aislamiento pero más necesidad de él.

En la era anterior a 3D, los ingenieros de proceso e integración que buscaban soluciones de aislamiento podían buscar métodos bien probados para la deposición de dieléctricos planares sintonizables o SiO2 conformal y nitruros. Pero hoy en día, existe la necesidad de sintonizabilidad y conformidad, así como la capacidad de depositar películas que contengan enlaces Si-C, como el oxicarburo de silicio (SiCO). Estos son necesarios para una mayor selectividad de grabado, que es un factor cada vez más importante en muchas aplicaciones, desde espaciadores GAA (gate-all-around) hasta dieléctricos BEOL y procesos litográficos avanzados. Al mismo tiempo, existe una creciente preocupación por el daño del plasma a las características del circuito.

Entonces, ¿cuál es el camino a seguir en la búsqueda del aislamiento? Una vía es una nueva técnica de deposición, SPARC, que se alinea bien con estas necesidades emergentes. Además de mantener una composición y propiedades de película uniformes en toda la profundidad de las características de alta relación de aspecto, SPARC permite la deposición de películas delgadas de SiCO altamente conformes, que brindan un aislamiento efectivo cuando se usan como espaciadores de bajo k en dispositivos lógicos y DRAM.

Dentro de la familia SiCO, el método SPARC permite una amplia sintonía de la composición, al mismo tiempo que mantiene una excelente conformidad. Las películas de SiCO densas y robustas con k de ~4-4.4 y baja fuga se pueden depositar directamente sobre metales como Co, W, etc., sin oxidar la capa inferior. Las películas muestran una excelente adherencia a la vez que son herméticas. Incluso a bajas temperaturas de deposición de 400 °C, el carbono se entrecruza por completo con muy pocos o ningún grupo metilo terminal, lo que proporciona estabilidad térmica y química en comparación con otras películas de SiOC.

Es importante destacar que todo esto se logra en un entorno sin plasma. Los radicales en estado fundamental aguas abajo interactúan solo con enlaces específicos en moléculas precursoras cuidadosamente seleccionadas. La elección de moléculas precursoras y radicales hace que la ruptura de enlaces sea selectiva, creando así radicales precursores que tienen coeficientes de adherencia muy bajos y, en consecuencia, una excelente cobertura de pasos. Los enlaces Si-C no se rompen durante el paso de depósito y se retiene cualquier O, N o C unido al silicio en la molécula precursora. El diseño y la elección del precursor están determinados por el tipo de película deseado, para proporcionar ese amplio ajuste de composición.

Durante este proceso, la proporción de enlaces Si-C se puede aumentar, con una reducción en la densidad de enlaces Si-O. Incluso con películas depositadas a diferentes temperaturas, la cantidad de carbono reticulado es el factor principal de la selectividad del grabado sobre la densidad o la cantidad total de carbono en la película. Además, estas películas de SiCO tienen una tasa de grabado húmedo cero (WER) en químicas húmedas típicas como HF diluido y ácido fosfórico caliente y, por lo tanto, ofrecen una selectividad de grabado húmedo casi infinita. Las películas también son continuas y sin poros hasta al menos 15 Å, a diferencia de las películas ALD SiN que deben tener al menos 30 Å para no tener poros.

¿Cómo se ve esto en la práctica? Volvamos a nuestro ejemplo de celda DRAM: como se señaló anteriormente, la disminución continua de nodo a nodo en la capacitancia de la celda ha estimulado una reducción correspondiente en la capacitancia de la línea de bits para mejorar la detección (es decir, la capacidad de "escuchar hablar a la celda").

Un componente significativo (quizás la mitad) de la capacitancia de la línea de bits se deriva del acoplamiento entre la línea de bits y la celda del nodo de almacenamiento (SNC). Desde el nodo de 20 nm, el uso de espacios de aire ha sido un medio para reducir este acoplamiento. Tenga en cuenta las líneas de color verde claro a cada lado de los espacios de aire: estas son películas dieléctricas que deben cumplir con muchos estándares estrictos que incluyen conformidad, adhesión, hermeticidad, constante dieléctrica y voltaje de ruptura. Las características del SiOC depositado en SPARC permiten un acoplamiento de menor capacitancia que los materiales tradicionales y, por lo tanto, un mayor rendimiento de DRAM.

En lógica, los espaciadores de puerta se conocen desde hace mucho tiempo como un medio para reducir las capacitancias parásitas tanto entre puertas como entre puertas y contactos de puerta, reduciendo así el riesgo de diafonía. El concepto del espaciador se ha trasladado a las arquitecturas 3D gate-all-around (GAA), pero hay un problema adicional: el material del espaciador también debe servir como tope de grabado lateral.

Una vez más, la combinación de características exhibidas por las películas de SiOC depositadas por SPARC es una combinación excelente para la situación. Además de sus propiedades eléctricas, la alta anisotropía y la excelente selectividad de grabado de SiOC ofrecen un rendimiento de línea fabulosa mejorado en comparación con otras opciones.

En ambos ejemplos, la minimización de la diafonía es solo una consideración entre muchas. Pero es importante tener en cuenta que esta minimización tiene una gran importancia para el proceso general de desarrollo del circuito, ya que reduce la carga sobre el condensador y el transistor, lo que facilita que realicen las funciones deseadas. Desde una perspectiva general, esto significa que hay menos necesidad de buscar otras vías para mejorar el rendimiento, todo lo cual necesariamente tiene un costo y puede presentar nuevas complicaciones. Es un gran ejemplo de cómo una actualización relativamente sutil en un nivel fundamental puede tener un enorme efecto de palanca.

La alta flexibilidad y adaptabilidad del proceso SPARC abre la puerta a una amplia gama de películas y composiciones conformadas. Por ejemplo, se puede utilizar para depositar películas de nitruro de carbono de silicio (SiCN), nuevamente con un alto nivel de sintonizabilidad. También se han depositado con éxito películas conformadas a base de boro de alta calidad, como carburo de boro (BC) y nitruro de carbono de boro (BCN); ofrecen un comportamiento de pulverización y grabado diferente al de sus contrapartes basadas en Si.

Una aplicación potencial especialmente interesante es para las técnicas avanzadas de creación de patrones, como la creación de patrones cuádruples autoalineados (SAQP), la compuerta y el contacto autoalineados (SAGC) y la vía totalmente autoalineada (fSAV), que se están desarrollando para la producción de cada vez más estructuras 3D complejas. Todos se basan en materiales con selectividad de grabado distinta para lograr nuevos niveles de precisión de superposición; esencialmente requieren combinaciones únicas de espaciador, máscara dura y materiales de detención del grabado, tanto planos como conformes, que muestren una selectividad de grabado casi perfecta entre sí en varias químicas húmedas y de grabado con plasma. Las películas basadas en BC y BCN son buenas candidatas porque también proporcionan k adecuado, conformidad, propiedades eléctricas y otras características.=

Del mismo modo, las películas depositadas con SPARC basadas en carburo de silicio (Si-C) podrían resultar muy útiles en la fabricación de orificios de memoria 3D NAND, ya que ofrecen una buena selectividad contra óxidos y nitruros, así como capacidad de ajuste. Y en cualquier situación en la que el procesamiento basado en plasma presente un problema, la capacidad de usar radicales para crear películas de elección puede poner en juego nuevas e interesantes opciones.

Pocas industrias evolucionan más rápido que la fabricación de semiconductores, y eso plantea desafíos continuos para desarrollar e integrar la combinación en constante cambio de procesos de producción. A medida que la industria continúa enfrentando nuevos problemas como la integración 3D y problemas duraderos como la diafonía, requerirá ingenio y creatividad para mantener el ritmo, y herramientas innovadoras como SPARC que respalden estos esfuerzos para garantizar que cada información se escuche con claridad.